You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

9 lines
144 B

package outwatch.router
import cats.data.Kleisli
object Router {
type AppRouter[F[_], A] = Kleisli[F, Path, A]
val AppRouter = Kleisli
}